2米资源网

VIP
数字IC验证之“UVM”基本概念

【14817】-数字IC验证之“UVM”基本概念

  • 声明:本网站所有内容均为资源介绍仅做学习参考使用
  • 如果你想学习交流可以加群联系我,让我们共同学习进步
  • 资源简介:数字IC验证之“UVM”基本概念
  • 详细描述

    数字IC验证之“UVM”基本概念


    01_UVM_UVM的基本概念-01_章节内容概述、芯片验证和验证计划(音频+12dB).mp4
    01_UVM_UVM的基本概念-01_章节内容概述、芯片验证和验证计划.mp4
    01_UVM_UVM的基本概念-02_什么是UVM, UVM的特点和UVM提供的资源(音频+12dB).mp4
    01_UVM_UVM的基本概念-02_什么是UVM, UVM的特点和UVM提供的资源.mp4
    01_UVM_UVM的基本概念-03_典型的UVM平台结构和小结(音频+12dB).mp4
    01_UVM_UVM的基本概念-03_典型的UVM平台结构和小结.mp4
    02_UVM_构建一个简单的UVM平台-01_本章主要内容概述和UVM平台中的关键组件.mp4
    02_UVM_构建一个简单的UVM平台-02_创建用户所需的transaction和创建sequence.mp4
    02_UVM_构建一个简单的UVM平台-03_创建sequencer和driver和创建monitor.mp4
    02_UVM_构建一个简单的UVM平台-04_创建agent.mp4
    02_UVM_构建一个简单的UVM平台-05_创建environment和创建testcase.mp4
    02_UVM_构建一个简单的UVM平台-06_运行仿真和小结.mp4
    03_UVM_UVM平台组件-01_主要内容概述和UVM库.mp4
    03_UVM_UVM平台组件 - 02_uvm_component.mp4
    03_UVM_UVM平台组件-03_UVM结构树.mp4
    03_UVM_UVM平台组件-04_uvm phase_1.mp4
    03_UVM_UVM平台组件-05_uvm phase_2.mp4
    03_UVM_UVM平台组件-06_UVM objection_1.mp4
    03_UVM_UVM平台组件 - 07_UVM objection_2.mp4
    03_UVM_UVM平台组件-08_UVM objection_3和小结.mp4
    04_UVM_UVM_factory机制-01_本章概述和什么是factory机制和UVM factory机制的原理.mp4
    04_UVM_UVM_factory机制-02_使用UVM factory机制和两个注册宏.mp4
    04_UVM_UVM_factory机制-03_UVM factory机制和override机制-1.mp4
    04_UVM_UVM_factory机制-04_UVM factory机制和override机制-2和本章小结.mp4
    05_UVM_UVM事务级建模-01_本章概述和什么是事务级建模&事务是信息交互的基本单元.mp4
    05_UVM_UVM事务级建模 -02_从uvm_sequence_item扩展事务和受约束的随机激励.mp4
    05_UVM_UVM事务级建模-03_UVM field automation机制和小结.mp4
    06-UVM_UVM信息服务机制-01_章节概述和什么是UVM信息服务机制和信息安全等级以及信息行为.mp4
    06_UVM_UVM信息服务机制-02_实现四种信息安全等级的宏和自定义信息的行为和本章小结.mp4
    07_UVM_UVM_configuration机制-01_本章概述和什么是UVM configuration机制和特点和原理.mp4
    07-UVM-UVM_configuration机制-02_如何使用configuration机制-1.mp4
    07_UVM_UVM_configuration机制-03_如何使用configuration机制-2.mp4
    07_UVM_UVM_configuration机制-04_如何使用configuration机制-3.mp4
    07_UVM_UVM_configuration机制-05_如何使用configuration机制-4.mp4
    08_UVM_UVM sequence机制-01_本章内容概述和什么是UVM sequence机制&为什么需要UVM sequence机制.mp4
    08_UVM_UVM sequence机制-02_UVM sequence机制的原理.mp4
    08_UVM_UVM sequence机制-03_如何使用UVM sequence机制_1_sequence机制在driver&sequencer&sequence中的实E.mp4
    08_UVM_UVM sequence机制-04_如何使用UVM sequence机制_2_uvm_do宏.mp4
    08_UVM_UVM sequence机制-05_如何使用UVM sequence机制_3_uvm sequence的启动.mp4
    08_UVM_UVM sequence机制-06_如何使用UVM sequence机制_4_sequence嵌套&仲裁&响应和本章小结.mp4
    09_UVM_UVM TLM-01_本章内容概述和什么是UVM TLM和为什么要使用TLM.mp4
    09_UVM_UVM TLM-02_UVM TLM原理.mp4
    09_UVM_UVM TLM-03_常用的UVM TLM port和imp.mp4
    09_UVM_UVM TLM-04_常用的UVM TLM export和三种prot的连接方式.mp4
    09_UVM_UVM TLM-05_普通TLM端口的用法-1.mp4
    09_UVM_UVM TLM-06_普通TLM端口的用法-2.mp4
    09_UVM_UVM TLM-07_普通TLM端口的用法-3.mp4
    10_UVM_UVM analysis_component-01_本章概述和两个分析组件.mp4
    11_UVM_UVM callback-05_如何使用UVM callback-4和小结.mp4
    12_UVM_UVM Advanced_sequence-01-章节概述和什么是UVM sequence library.mp4
    12-UVM_UVM Advanced_sequence-02_向UVM sequence library中永久注册sequence.mp4
    12-UVM_UVM Advanced_sequence-03_临时添加sequence到UVM sequence library中和使用UVM sequence library对象.mp4
    12-UVM-UVM Advanced_sequence-04_UVM sequence library中的相关变量和配置类.mp4
    12_UVM_UVM Advanced_sequence-05-什么是Virtual sequence和virtual sequence和virtual sequencer.mp4
    12_UVM_UVM Advanced_sequence-06_Virtual sequence和virtual sequencer的使用方法和小s(音200%).mp4
    12_UVM_UVM Advanced_sequence-06_Virtual sequence和virtual sequencer的使用方法和小结.mp4
    13_UVM_UVM RAL-01_章节概述和验证需要包含寄存器和存储器和寄存器抽象层.mp4
    13_UVM_UVM RAL-02_寄存器抽象层的工作原理和寄存器模型的构成.mp4
    13_UVM_UVM RAL-03_如何将寄存器模型嵌入测试平台中-1.mp4
    13_UVM_UVM RAL-04_如何将寄存器模型嵌入测试平台中 -2.mp4
    13_UVM_UVM RAL-05_寄存器模型的基本数据结构.mp4
    13_UVM_UVM RAL-06_寄存器模型API.mp4
    13-UVM-UVM RAL-07-寄存器测试sequence和内建coverage和小结.mp4
    EEcourse_uvm_training_lab.zip
    uvm_training_lab.rar

     

    数字IC验证之“UVM”基本概念
    百度网盘分享地址: 链接: https://pan.baidu.com/s/1Ffu9uAMKGS4iawwfGc-bKg?pwd=m2wa 提取码: m2wa
    2米资源网